answersLogoWhite

0


Best Answer

Below code can implement NAND gate in VHDL.

The code is written in behavioral model.

Library ieee;

use ieee.std_logic_1164.all;

Entity gates is

port (a,b : in std_logic; c : out std_logic);

end gates ;

architecture and1 of gates is

begin

process(a,b)

Begin

If (a=1 and b=1) then

C<='0';

Else

C<= '1';

End if;

End process;

End and1;

User Avatar

Wiki User

11y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What is the VHDL code to implement NAND gate in behavioral model?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Related questions

How to implement XOR gate in behavioral model of VHDL?

basic gates like XOR already exist in VHDL.


What is the VHDL code to implement NOR gate in behavioral model?

Below code can implement NAND gate in VHDL. The code is written in data flow model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin c&lt;=a nand b; end and1;


What is the basics of VHDL?

VHDL is a hardware description language. You can describe the hardware in three different ways using VHDL. 1. dataflow model 2. behavioral model 3. structural model


What is a vhdl gold model?

Vhdl has got three models - programming styles. 1. data flow model 2. behavioral model 3. structural model.


Code for square wave generation in VHDL?

implement vhdl code for counter.output of counter pulse is a square wave


What is the VHDL code to implement NOR gate?

Below code can implement NOT gate in VHDL. The code is written in data flow model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin c&lt;=not a; end and1;


What is VHDL code to implement AND gate?

Below code can implement AND gate in VHDL. The code is written in data flow model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin c&lt;=a and b; end and1;


What is the VHDL code to implement XOR gate in behavioral model?

Below code can implement OR gate in VHDL. The code is written in behavioral model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin process(a,b) Begin If (a=1 or b=1) then C&lt;='1'; Else C&lt;= '0'; End if; End process; End and1;


How many version of vhdl are there in xillinx product?

VHDL is a hardware description language. XILINX is an EDA tool. EDA tools, electronic design and automation tools, are used to implement the programs like VHDL or Verilog. VHDL has several versions. But all these are standardized by IEEE and they don't belong to XILINX. Several FPGAs and CPLDs are manufactured by XILINX.


How to do a password using vhdl?

A VHDL program can be written to provide a password in the following ways.Using FPGA:Your program can be dumped into a FPGA. This works as per the program written. As the code is burned into it, the user can not see the code. Now, within your program you can have a conditional statement which serves as your password. For example,if (c=ramarav) then...................................................................Using CPLD:It also works in the similar manner.Using a GUI:We can implement a graphical user interface, but the easier way is to take the help of MATLAB tool. We can implement our VHDL code in MATLAB tool and it serves the purpose.


What is VHDL calculators?

A virtual calculator can be implemented using VHDL. We call it VHDL calculator.


What is VHDL program for half adder in behavioral model?

PROGRAM:Library ieee;use ieee.std_logic_1164.all;entity ha1 isport(a,b:in bit;s,c:out bit);end ha1;architecture ha1 of ha1 isbegins