answersLogoWhite

0

What is Verilog used to design?

Updated: 8/20/2019
User Avatar

Wiki User

10y ago

Best Answer

Verilog is a hardware description language, also known as an HDL. It is most commonly used in the verification and design of digital circuits and the verification of mixed signal and analog circuits. Verilog is the first recognized hardware description language to be invented.

User Avatar

Wiki User

10y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What is Verilog used to design?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Related questions

What is the difference between fpga implementation and verilog implementation?

Verilog HDL / VHDL is a hardware description language used to implement a hardware on a computer virtually. It means that we can append all the attributes of a hardware to a computer program and verify as to how it works. But there may be differences in its behavior when it is actually implemented physically. For example, there may be an unexpected time delay. So, it is required to verify the design physically. Hence, we dump this Verilog / VHDL code into an FPGA / CPLD and verify the design physically. In other words, Verilog HDL / VHDL program is used to verify the design on a computer where as FPGA / CPLD implementation is used to verify the design on an IC.


What are eda tools?

These are Electronic Design Automation tools. These tools are used to design and implement electronic circuits virtually using a computer. Programming languages like VHDL, Verilog can be used for this purpose.


What is mean by verilog?

Verilog is a hardware description language used to model electronic systems.


What is the full form of verilog?

Verilog stands for Verification Logic. But is mostly used as Verilog HDL (Verification Logic Hardware Description Language)


How vhdl acts as an exchange medium between chip vendors and cad tool users?

CAD means computer aided design. CAD tools are used to design chips virtually on a computer. Programming languages like VHDL, Verilog, System C, Syatem Verilog are used for this purpose. The successful designs of these languages can be fabricated into chips.


What is the difference between fpga mplementation and verilog hdl implementation?

HDL means hardware description language. These are the computer programming languages used to describe hardware. By doing so one can virtually realize hardware and test it. Verilog HDL is one of several hardware description languages available.


Why verilog preferred over vhdl?

The very basic reason is that Verilog is easy to learn than VHDL. The more important reason is that VHDL is a high level design and Verilog is low level. It means that, in Verilog, the user has got a flexibility of designing from the very basic level. As most of the errors can be rectified at very low level, Verilog is more reliable.


What has the author Samir Palnitkar written?

Samir Palnitkar has written: 'Verilog HDL' -- subject(s): Verilog (Computer hardware description language) 'Design Verification with e'


What has the author Stephen D Brown written?

Stephen D. Brown has written: 'Fundamentals of digital logic with Verilog design' -- subject(s): Logic circuits, Computer-aided design, Data processing, Verilog (Computer hardware description language), Design and construction


What has the author Shivakumar Chonnad written?

Shivakumar Chonnad has written: 'Verilog' -- subject(s): Application specific integrated circuits, Design, Verilog (Computer hardware description language)


When was Verilog created?

Verilog was created in 1984.


What has the author Peter J Ashenden written?

Peter J. Ashenden has written: 'Digital design' -- subject(s): Embedded computer systems, Verilog (Computer hardware description language), System design 'The VHDL cookbook' 'Digital Design (Verilog)' 'The Designer's Guide to VHDL (Systems on Silicon)' 'The system designer's guide to VHDL-AMS'