answersLogoWhite

0


Best Answer

In Anglo-Saxon England the term reeve was originally used for that of an administrative official who oversaw a particular area, be it a town, a port, a shire (shire reeve being the origin of the word sheriff) or a village.

Later, after the conquest, the term was used more narrowly. It referred to an official in a manor village, chosen from among the villagers, typically by the villagers, who was responsible for overseeing the labor owed to the lord by the tenants. The reeve would co-ordinate and direct the work of of these obligations, and report to the steward, or the lord if there was actually a lord in residence.

User Avatar

Wiki User

12y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What jobs did the Reeves do inb the middle ages?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Related questions

What means inb?

im not bossy inb


What is the abbreviation for inbound?

i think ints inb.


Who is the batman inb batman beyond?

Terry McGinnis


Is chromatin in a plant or animal cell?

inb a plant cell


What is abbreviation of Inb in postal department?

may be IN the Board ( mean; inComed )


When was inb-al-nafis ideas made?

About 1240 CE . . . See related link.


What trees are native in Iowa?

Dagwood, mander, woody, inb, red, hi, ckories,


How many gallons inb 70 liters?

70 liters is 18.5 US gallons.


How did the ability to work with iron affect food production and the types of jobs that villagers performed inb west Africa?

with iron tools, farmers could clear land and grow crops more efficiently. it also helped with weaving, metalworking, and pottery making.


What keeps a square from moving?

Concrete.


What thoroughbred racehorse was nicknamed Go Fish?

There was a horse named Go Fish born inb 1995.


What is VHDL program for serial adder in behavioral model?

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SA_VHDL is Port ( I : in std_logic_vector(15 downto 0); O : out std_logic_vector(7 downto 0); c_i, a_i, b_i, c_o, s_o : out std_logic; CLK : in std_logic; Load : in std_logic); end SA_VHDL; architecture Behavioral of SA_VHDL is signal ina, inb, oreg : std_logic_vector(7 downto 0); signal so, ci, co: std_logic; begin --reg ina process (CLK) begin if CLK'event and CLK='1' then if (Load='1') then ina <= I(15 downto 8); else ina <= '0' & ina(7 downto 1); end if; end if; end process; --reg inb process (CLK) begin if CLK'event and CLK='1' then if (Load='1') then inb <= I(7 downto 0); else inb <= '0' & inb(7 downto 1); end if; end if; end process; --oreg process (CLK) begin if CLK'event and CLK='1' then if (Load='1') then oreg <= "00000000"; ci <= '0'; else ci <= co; oreg <= so & oreg(7 downto 1); end if; end if; end process; -- FA so <= inb(0) xor ina(0) xor ci; co <= (inb(0) and ina(0)) or (inb(0) and ci) or (ci and ina(0)); O <= oreg; -- for test c_i <= ci; a_i <= ina(0); b_i <= inb(0); c_o <= co; s_o <= so; end Behavioral;