answersLogoWhite

0


Best Answer

mux is used to select a single input out of various inputs.eg. there are 4 inputs and u want to selext the first then u mail use the select lines as 00 for the first input

01 for second

10 for third

11 for fourth

User Avatar

Wiki User

12y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What is the application of mux?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Continue Learning about Electrical Engineering
Related questions

What is mux?

what is a mux


How many input MUX you will get if 4 input multiplexers drive a 4 input multiplexer?

we'll get 4 input mux cuz 2^4=16.... therefore the first 4 input mux has 16 o/p.. hence four-4 i/p mux are required to fill all 16 leads.


What is the market cap for McEwen Mining Inc MUX?

As of July 2014, the market cap for McEwen Mining Inc. (MUX) is $706,322,326.55.


Can inverter circuit be design from multiplexer circuit?

MUX(A, B, S) = A*S + B*S' NOT(A) = MUX(0, 1, A) = 0*A + 1*A' = A' From http://noyesno.net/


What is difference between Mux and Routers?

a mux has many i/ps & 1 o/p but a router connects many n/ws and the may or may not be of same kind a mux does not follow an algo but router follows algo such as dikakstra bellman ford or any other or a combination.......


What type of product is a cmos mux?

A CMOS Mux is a piece of technology that is used to create integrated circuits that are used in electronics. The full name of 'CMOS' is Complementary Metal Oxide Semiconductor.


What nicknames does Alexander Maas go by?

Alexander Maas goes by Mux.


Implement a full adder using 2 41 mux?

implement it. enough said.


What are some features of a mux?

Features of a multiplexer, also known as a mux, include being able to select analog or digital signal inputs and forward the signals into a single line. This is an electronic device and can be purchased in stores that sell electronic equipment.


What is the VHDL program for mux?

A simple program for 8 x 1 multiplexer is given below. Library ieee; use ieee.std_logic_1164.all; entity mux is port (a, b, c, d, e, f, g, h : in std_logic; s: in std_logic_vector ( 2 downto 0); y, yn : out std_logic ; St : in std_logic) ; end mux ; architecture mux of mux is signal yt : std_logic; begin process (a, b, c, d, e, f, g, h, s, yt) begin case s is when "000" => yt <= a; when "001" => yt <= b; when "010" => yt <= c; when "011" => yt <= d; when "100" => yt <= e; when "101" => yt <= f; when "110" => yt <= g; when "111" => yt <= h; when others => yt <= (others => '0'); end case; if St='1' then y <= yt; yn <= not yt; else y<= '0'; yn <= '1'; end if; end mux;


A 4 variable logic expreSSion can be realized using which multiplexer?

using 8:1 mux....


What is the airport code for Multan International Airport?

The airport code for Multan International Airport is MUX.