answersLogoWhite

0

Library ieee;

use ieee.std_logic_1164.all;

entity v3to8dec is

port (g1, g2, g3: in std_logic; a : in std_logic_vector (2 downto 0);

y : out std_logic_vector (0 to 7) );

end v3to8dec;

architecture v3to8dec_a of v3to8dec is

signal y_s: std_logic_vector (0 to 7);

begin

with a select y_s <=

"10000000" when "000",

"01000000" when "001",

"00100000" when "010",

"00010000" when "011",

"00001000" when "100",

"00000100" when "101",

"00000010" when "110",

"00000001" when "111",

"00000000" when others;

Y <= y_s when (g1 and g2 and g3) = '1' else "00000000";

end v3to8dec_a;

User Avatar

Wiki User

13y ago

What else can I help you with?

Related Questions

How many 3-to-8 line decoders with an enable input are needed to construct a 6-64?

You need 9 3-to-8 decoders. 8 decoders for selecting one of 64 lines. 1 decoder for enabling 1 decoder out of 8 decoder.


Vhdl code for 8 to 3 priority encoder?

karthika only know answer to this question


Impliment 8 into 256 decoder using decoder circuit?

8:256 decoder circuit can be implemented by using 4:16 decoder circuit


How do you make 3 8 decoder?

Use two 2-4 decoders.


Explain 3 line to 8 line decoder?

chuma da do chuma da do!


What is the use of IC 74138N?

The 74138 is a 3 to 8 decoder. When enabled, one of the 8 outputs is low, based on the binary value of the 3 inputs.


What is the basics of VHDL?

VHDL is a hardware description language. You can describe the hardware in three different ways using VHDL. 1. dataflow model 2. behavioral model 3. structural model


What is VHDL calculators?

A virtual calculator can be implemented using VHDL. We call it VHDL calculator.


What is a vhdl gold model?

Vhdl has got three models - programming styles. 1. data flow model 2. behavioral model 3. structural model.


Explain Implementation of full adder with a decoder?

A full adder can be implemented using a decoder by utilizing a 3-to-8 line decoder to decode the three input bits: A, B, and Carry-in (Cin). The decoder generates eight output lines corresponding to all possible combinations of the three inputs. The outputs of the decoder can then be combined with logic gates to derive the Sum and Carry-out outputs of the full adder. Specifically, the Sum output can be generated by ORing the appropriate outputs of the decoder, and the Carry-out can be derived from a combination of specific outputs as well.


N-2n decoder input and output lines?

An N-2N decoder is a digital circuit that converts N input lines into 2^N output lines. For each unique combination of the input lines, one specific output line is activated while all others remain inactive. For instance, if there are 3 input lines (N=3), the decoder will produce 2^3 = 8 output lines, allowing it to represent all combinations of the 3 inputs. This type of decoder is commonly used in memory address decoding and data routing applications.


What decoder chip converts 7 lines to 3 lines?

Decoder is a circuit which have n inputs and 2^n outputs.I think you want to say encoder which have 2^n input and n output lines. So your required chip is 8(2^3)X3 encoder which does not exist.