answersLogoWhite

0


Best Answer

basic gates like XOR already exist in VHDL.

User Avatar

Wiki User

11y ago
This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: How to implement XOR gate in behavioral model of VHDL?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Related questions

What is the VHDL code to implement NAND gate in behavioral model?

Below code can implement NAND gate in VHDL. The code is written in behavioral model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin process(a,b) Begin If (a=1 and b=1) then C<='0'; Else C<= '1'; End if; End process; End and1;


What is the VHDL code to implement NOR gate in behavioral model?

Below code can implement NAND gate in VHDL. The code is written in data flow model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin c<=a nand b; end and1;


What is the VHDL code to implement NOR gate?

Below code can implement NOT gate in VHDL. The code is written in data flow model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin c<=not a; end and1;


What is VHDL code to implement AND gate?

Below code can implement AND gate in VHDL. The code is written in data flow model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin c<=a and b; end and1;


What is the VHDL code to implement XOR gate in behavioral model?

Below code can implement OR gate in VHDL. The code is written in behavioral model. Library ieee; use ieee.std_logic_1164.all; Entity gates is port (a,b : in std_logic; c : out std_logic); end gates ; architecture and1 of gates is begin process(a,b) Begin If (a=1 or b=1) then C<='1'; Else C<= '0'; End if; End process; End and1;


What is the difference between VHDL and Verilog?

They are very much the same, except VHDL syntax is derived from Ada while Verilog syntax is derived from C. ==================================== moreover, VHDL is a system level language whereas verilog is a gate level (circuit level) language. Hence, verilog is easy to learn than VHDL.


What is technology schematics in vhdl?

In the synthesis part of a VHDL code, the EDA tool provides technology schematic. It describes the structure and sub-structures of the design. We can watch our design from the system level to the gate level.


What are three gates that are used to implement AOL logic?

AND gate, INVERTER gate and OR gate. Hope this would help.


How can you write a VHDL code for full adder using two half adders?

Since a fulladder can be obtained by using 2 halfadders & 1 OR gate.....so we have to call an halfadder program as well as an OR program......this can be implemented easily with the help of structural model rather than dataflow and behavoioural model


What is tha full form of VHDL?

VHDL is the VHSIC Hardware Description Language. VHSIC is an abbreviation for Very High Speed Integrated Circuit. It can describe the behaviour and structure of electronic systems, but is particularly suited as a language to describe the structure and behaviour of digital electronic hardware designs, such as ASICs and FPGAs as well as conventional digital circuits. VHDL is an international standard, regulated by the IEEE. Simulation and synthesis are the two main kinds of tools which operate on the VHDL language. VHDL allows designs to be described using any methodology - top down, bottom up or middle out! VHDL can be used to describe hardware at the gate level or in a more abstract way.


What is the conclusion of logic gate during seminar?

A logic gate is used to implement any digital logic. It may be AND, OR, XOR, NOT, XNOR, NAND, NOR. These logic gates can be used to implement the output equations of digital circuits in order to design them.


What are disadvantages of VHDL?

VHDL is basically a hardware description language. To describe hardware as a program that can be dumped into a PLD, we use VHDL. It is essential to represent hardware as program so that it can be tested before realizing it physically. If there are any errors, they can be corrected here itself.