answersLogoWhite

0


Best Answer

HDL means hardware description language. These are the computer programming languages used to describe hardware. By doing so one can virtually realize hardware and test it. Verilog HDL is one of several hardware description languages available.

User Avatar

Wiki User

11y ago
This answer is:
User Avatar
More answers
User Avatar

Wiki User

11y ago

Verilog HDL / VHDL is a hardware description language used to implement a hardware on a computer virtually. It means that we can append all the attributes of a hardware to a computer program and verify as to how it works. But there may be differences in its behavior when it is actually implemented physically. For example, there may be an unexpected time delay. So, it is required to verify the design physically. Hence, we dump this Verilog / VHDL code into an FPGA / CPLD and verify the design physically.

In other words, Verilog HDL / VHDL program is used to verify the design on a computer where as FPGA / CPLD implementation is used to verify the design on an IC.

This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What is the difference between fpga mplementation and verilog hdl implementation?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Related questions

What is the difference between fpga implementation and verilog implementation?

Verilog HDL / VHDL is a hardware description language used to implement a hardware on a computer virtually. It means that we can append all the attributes of a hardware to a computer program and verify as to how it works. But there may be differences in its behavior when it is actually implemented physically. For example, there may be an unexpected time delay. So, it is required to verify the design physically. Hence, we dump this Verilog / VHDL code into an FPGA / CPLD and verify the design physically. In other words, Verilog HDL / VHDL program is used to verify the design on a computer where as FPGA / CPLD implementation is used to verify the design on an IC.


What is the difference between VHDL and Verilog?

They are very much the same, except VHDL syntax is derived from Ada while Verilog syntax is derived from C. ==================================== moreover, VHDL is a system level language whereas verilog is a gate level (circuit level) language. Hence, verilog is easy to learn than VHDL.


When was Verilog created?

Verilog was created in 1984.


What is the full form of verilog?

Verilog stands for Verification Logic. But is mostly used as Verilog HDL (Verification Logic Hardware Description Language)


What is mean by verilog?

Verilog is a hardware description language used to model electronic systems.


Why verilog preferred over vhdl?

The very basic reason is that Verilog is easy to learn than VHDL. The more important reason is that VHDL is a high level design and Verilog is low level. It means that, in Verilog, the user has got a flexibility of designing from the very basic level. As most of the errors can be rectified at very low level, Verilog is more reliable.


Interview questions verilog HDL?

good


What is Verilog used to design?

Verilog is a hardware description language, also known as an HDL. It is most commonly used in the verification and design of digital circuits and the verification of mixed signal and analog circuits. Verilog is the first recognized hardware description language to be invented.


What are the different types of modeling Verilog?

three types of modeling are their in verilog they are Gate level modeling Dataflow modeling or rlt level modeling behaviour modeling


Digital design through verilog question papers?

The link for verilog question paper is http://www.interview-secrets.net/jobinterviews/verilog-interview-questions.html http://vlsifaq.blogspot.com/2007/10/verilog.html http://vlsifaq.blogspot.com/2007/10/verilog.html http://forum.rficdesign.com/YaBB.pl?num=1222165121 http://forum.rficdesign.com/YaBB.pl?num=1222165286 http://www.asicguru.com/system-verilog/interview-questions/10/


What are the differences between the applications of SystemC and Verilog?

They are different languages for Hardware design and verification. For more information on.................. Systemverilog tutorial Systemverilog randomization tutorial Systemverilog DPI tutorial Systemverilog Assertions tutorial Openvera tutorial Verification Concepts. Verilog tutorial VMM tutorial RVM tutorial AVM tutorial OVM tutorial Verilog interview questions Specman interview questions Systemverilog interview questions OpenVera Interview questions


What has the author Samir Palnitkar written?

Samir Palnitkar has written: 'Verilog HDL' -- subject(s): Verilog (Computer hardware description language) 'Design Verification with e'