answersLogoWhite

0


Best Answer

According to article 100 (3) of Indian Constitution - Until Parliament by law otherwise provides, the quorum to constitute a meeting of either House of Parliament shall be one tenth of the total number of members of the House.

User Avatar

Wiki User

11y ago
This answer is:
User Avatar
More answers
User Avatar

Wiki User

16y ago

There needs to be more than half than the total amount of the people in the Senate or the House of Representatives. That is, 51 Senators or 218 Representatives.

This answer is:
User Avatar

Add your answer:

Earn +20 pts
Q: What is the quorum laid downto constitute a meeting of either of the houses of Indian parliament?
Write your answer...
Submit
Still have questions?
magnify glass
imp
Continue Learning about Movies & Television

3 to 8 decoder in VHDL?

Library ieee; use ieee.std_logic_1164.all; entity v3to8dec is port (g1, g2, g3: in std_logic; a : in std_logic_vector (2 downto 0); y : out std_logic_vector (0 to 7) ); end v3to8dec; architecture v3to8dec_a of v3to8dec is signal y_s: std_logic_vector (0 to 7); begin with a select y_s <= "10000000" when "000", "01000000" when "001", "00100000" when "010", "00010000" when "011", "00001000" when "100", "00000100" when "101", "00000010" when "110", "00000001" when "111", "00000000" when others; Y <= y_s when (g1 and g2 and g3) = '1' else "00000000"; end v3to8dec_a;


How to use for loop in vhdl code?

A loop statement is used to iterate through a set of sequential statements. The syntax of a loop statement is [ loop-label : ] iteration-scheme loop sequential-statements end loop [ loop-label ] ; There are three types of iteration schemes. The first is the for iteration scheme that has the form for identifier in range An example of this iteration scheme is FACTORIAL := 1; for NUMBER in 2 to N loop FACTORIAL := FACTORIAL * NUMBER; end loop; The body of the for loop is executed (N-1) times, with the loop identifier, NUMBER, being incremented by I at the end of each iteration. The object NUMBER is implicitly declared within the for loop to belong to the integer type whose values are in the range 2 to N. No explicit declaration for the loop identifier is, therefore, necessary. The loop identifier, also, cannot be assigned any value inside the for loop. If another variable with the same name exists outside the for loop, these two variables are treated separately and the variable used inside the for loop refers to the 34loop identifier. The range in a for loop can also be a range of an enumeration type such as type HEXA is ('0', '1', '2', '3', '4', ' 5', '6', '7', '8', '9', 'A', 'B', 'C', 'D', 'E', 'F'): . . . for NUM in HEXA'('9') downto HEXA'('0') loop -- NUM will take values in type HEXA from '9' through '0'. . . . end loop; for CHAR in HEXA loop -- CHAR will take all values in type HEXA from '0' through 'F'. . . . end loop; Notice that it is necessary to qualify the values being used for NUM [e.g., HEXA'('9')] since the literals '0' through '9' are overloaded, once being defined in type HEXA and the second time being defined in the predefined type CHARACTER


How do you enter cheats in super Dragon Ball Z ps2?

What you do is during gameplay (when you are playing) you put in cheats heres some 4 you 2 get started Cheat: Infinite Lung CapacityDuring game play press DOWN, LEFT, L1, DOWN, DOWN, R2, DOWN, L2, DOWNCheat: Full Health, Full Armor, $250,000To have all of the above, press the following while playing GTA: San Andreas: R1, R2, L1, X, LEFT, DOWN, RIGHT, UP, LEFT, DOWN, RIGHT, UP Cheat: Weapon Set 2To unlock the Knife, Pistol, Sawnoff Shotgun, Tec 9, Sniper Rifle, Flamethrower, Grenades, Fire Extinguisher in Unlock some new rides in GTA: San Andreas enter: R1, R2, L1, R2, LEFT, DOWN, RIGHT, UP, LEFT, DOWN, DOWN, LEFT.Cheat: Weapon Set 3You can unlock the Chainsaw, Silenced Pistol, Combat Shotgun, M4, Bazooka, Plastic Explosive in Unlock some new rides in GTA: San Andreas during gameplay by pressing R1, R2, L1, R2, LEFT, DOWN, RIGHT, UP, LEFT, DOWN, DOWN, DOWN.Cheat: Weapon Set 1To unlock the Bat, Pistol, Shotgun, Mini SMG, AK 47, Rocket Launcher, Molotov Cocktail, Spray Can, Brass Knuckles in Grand Theft Auto: San Andreas enter: R1, R2, L1, R2, Left, Down, Right, Up, Left, Down, Right, Up Cheat: Wanted Level DownTo erase your Wanted Level, enter the following during gameplay: R1, R1, CIRCLE, R2, UP, DOWN, UP, DOWN, UP, DOWN.Cheat: Maximum MuscleMaximum muscle instantly. Press Triangle, Up, Up, Left, Right, Square, Circle, Left during gameplay. Cheat: SkinnyCJ loses all the fat and the muscle to turn into one scrawny gangster. Triangle, Up, Up, Left, Right, Square, Circle, Right.Cheat: FattyCJ turns into one hell of a tubby. Triangle, Up, Up, Left, Right, Square, Circle, Down.Cheat: Super-PunchDuring gameplay, press UP, LEFT, X, TRIANGLE, R1, CIRCLE, CIRCLE, CIRCLE, L2. A message will confirm code entry. This will allow you to launch people when you punch them and finish them with a one hit kill.


Related questions

What is VHDL program for multiprecision adder?

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity mpadd isport ( a : in std_logic_vector(31 downto 0);b : in std_logic_vector(31 downto 0);y : out std_logic_vector(31 downto 0));end mpadd;architecture mpadd of mpadd isreturn std_logic_vector isFunction to_integer(x:in std_logic_vector(6 downto 0))return integer isvariable sum :integer:=0;variable Temp :Std_logic_vector(6 downto 0);begintemp:=x;xxx: for i in 0 to 6 loopif (temp(i)='1')thensum:=sum+2**i;elseSum:=Sum;end if;end loop;return sum;end function;variable MaIn : std_logic_vector(22 downto 0); -- Internal Registervariable MbIn : std_logic_vector(22 downto 0); -- Internal Registervariable Ea,Eb : std_logic_vector(7 downto 0); -- Two Exponents including Signvariable IR : std_logic_vector(22 downto 0); -- Resultant Mantissavariable IE : std_logic_vector(6 downto 0); -- Resultant Exponentvariable Ns : integer; -- Number Of Shiftsvariable Ma,Mb : std_logic_vector(22 downto 0); -- Mangitude Of Two mantissasvariable ES : std_logic; -- Sign Of Resulant Exponentvariable a,b : std_logic; -- Sign Of Two exponentsvariable s1,s2 : std_logic; -- Sign Of Two mantissasvariable Sign : std_logic; -- Sign Of Resultant Mantissavariable W,Z : std_logic_vector(1 downto 0);variable X : std_logic_vector(31 downto 0); -- Final ResultbeginMaIn:=AccOut(22 downto 0);MbIn:=Data(22 downto 0);Ea :=AccOut(30 downto 23);Eb :=Data(30 downto 23);a :=AccOut(30);b :=Data(30);Z :=(a&b);case Z iswhen "00" => Mb:=MbIn;Ma:=MaIn;if((Ea(6 downto 0)) Mb:=MbIn;Ma:=MaIn;NS:=to_integer(Eb(6 downto 0)+Ea(6 downto 0));for x in 1 to NS loopMa:=('0' & Ma(22 downto 1));end loop;IE:=Eb(6 downto 0);ES:=Eb(7);when "11" => Mb:=MbIn;Ma:=MaIn;if((Ea(6 downto 0)) sign:='0';when "11" => sign:='1';when "01" => if(Ea>Eb) thensign:='0';elsif(EaMb) thensign:='0';elsif(Ma if(Ea>Eb) thensign:='1';elsif(EaMb) thensign:='1';elsif(Ma null;end case;--***********Final Result After Addition***************************X:=(sign & ES & IE & IR(22 downto 0));return X;end function;beginprocess(a,b)beginy


What is VHDL program for carry look ahead adder?

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY cldf IS PORT ( a : IN STD_LOGIC_VECTOR(3 DOWNTO 0); b : IN STD_LOGIC_VECTOR(3 DOWNTO 0); ci : IN STD_LOGIC; sum : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); co : OUT STD_LOGI ); END cldf; ARCHITECTURE df OF cldf IS SIGNAL h_sum : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL g : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL p : STD_LOGIC_VECTOR(3 DOWNTO 0); SIGNAL cin : STD_LOGIC_VECTOR(3 DOWNTO 1); BEGIN h_sum <= a XOR b; g <= a AND b; p <= a OR b; PROCESS (g,p,cin) BEGIN cin(1) <= g(0) OR (p(0) AND ci); inst: FOR i IN 1 TO 2 LOOP cin(i+1) <= g(i) OR (p(i) AND cin(i)); END LOOP; co <= g(3) OR (p(3) AND cin(3)); END PROCESS; sum(0) <= h_sum(0) XOR ci; sum(3 DOWNTO 1) <= h_sum(3 DOWNTO 1) XOR cin(3 DOWNTO 1); END df;


What is VHDL program for 4 to 16 decoder?

entity decoder3x8 isport ( ctrl : in std_logic_vector (2 downto 0);z : out std_logic_vector (7 downto 0) );end decoder3x8;architecture dec3x8_Dflow of decoder3x8 isbeginz


What is VHDL program for 8 bit priority encoder?

entity priorityenc is Port ( EI_L : in STD_LOGIC; I_L : in STD_LOGIC_VECTOR (7 downto 0); A_L : out STD_LOGIC_VECTOR (2 downto 0); E0_L : out STD_LOGIC; GS_L : out STD_LOGIC); end priorityenc; architecture Behavioral of priorityenc is signal EI: std_logic; signal I: std_logic_vector(7 downto 0); signal E0, GS: std_logic; signal A: std_logic_vector(2 downto 0); begin process (EI_L , I_L, EI, E0, GS, I, A) variable j: INTEGER range 7 downto 0; begin EI <= not EI_L; -- convert Input I <= not I_L; -- convert inputs E0 <= '1'; GS <='0'; A <= "000"; if(EI) ='0' then E0 <= '0'; else for j in 7 downto 0 loop if I(j) ='1' then GS<='1'; E0 <= '0'; A<= CONV_STD_LOGIC_VECTOR(j,3); exit; end if; end loop; end if; E0_L <= not E0; -- convert output GS_L <= not GS; -- convert output A_L <= not A; -- convert outputs end process; end Behavioral;


What is VHDL program for serial adder in behavioral model?

library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity SA_VHDL is Port ( I : in std_logic_vector(15 downto 0); O : out std_logic_vector(7 downto 0); c_i, a_i, b_i, c_o, s_o : out std_logic; CLK : in std_logic; Load : in std_logic); end SA_VHDL; architecture Behavioral of SA_VHDL is signal ina, inb, oreg : std_logic_vector(7 downto 0); signal so, ci, co: std_logic; begin --reg ina process (CLK) begin if CLK'event and CLK='1' then if (Load='1') then ina <= I(15 downto 8); else ina <= '0' & ina(7 downto 1); end if; end if; end process; --reg inb process (CLK) begin if CLK'event and CLK='1' then if (Load='1') then inb <= I(7 downto 0); else inb <= '0' & inb(7 downto 1); end if; end if; end process; --oreg process (CLK) begin if CLK'event and CLK='1' then if (Load='1') then oreg <= "00000000"; ci <= '0'; else ci <= co; oreg <= so & oreg(7 downto 1); end if; end if; end process; -- FA so <= inb(0) xor ina(0) xor ci; co <= (inb(0) and ina(0)) or (inb(0) and ci) or (ci and ina(0)); O <= oreg; -- for test c_i <= ci; a_i <= ina(0); b_i <= inb(0); c_o <= co; s_o <= so; end Behavioral;


What is VHDL program for 8 to 1 multiplexer?

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity mux8X1 isPort ( en8 : in STD_LOGIC;s3 : in STD_LOGIC_VECTOR (2 downto 0);i : in STD_LOGIC_VECTOR (7 downto 0);y8 : out STD_LOGIC;y8l : out STD_LOGIC);end mux8X1;architecture Behavioral of mux8X1 isbeginprocess(en8,s3,i)beginif(en8='0') then y8


What is a c-code to implement heapsort?

Heapsort(A) { BuildHeap(A) for i <- length(A) downto 2 { exchange A[1] <-> A[i] heapsize <- heapsize -1 Heapify(A, 1) } BuildHeap(A) { heapsize <- length(A) for i <- floor( length/2 ) downto 1 Heapify(A, i) } Heapify(A, i) { le <- left(i) ri <- right(i) if (le<=heapsize) and (A[le]>A[i]) largest <- le else largest <- i if (ri<=heapsize) and (A[ri]>A[largest]) largest <- ri if (largest != i) { exchange A[i] <-> A[largest] Heapify(A, largest) } }


Write VHDL Code for a 16-bit shift left register?

library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity shiftreg is Port ( en : in STD_LOGIC; clock : in STD_LOGIC; reset :in std_logic; data_i : in STD_LOGIC_VECTOR (15 downto 0); shift : in STD_LOGIC; data_o : out STD_LOGIC); end shiftreg; architecture Behavioral of shiftreg is signal reg: std_logic_vector (15 downto 0); begin process (clock, en,reg,reset) begin if reset<='1' then reg<=data_i; elsif (clock'event and clock <='1' ) then if (en <='1') then reg <= data_i; elsif (en<='1' and shift<='1') then reg <= reg (14 downto 0) & '0'; end if; end if; end process; data_o <= reg (15); end Behavioral;


What is VHDL program for parallel adder?

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity pa isPort ( a : in STD_LOGIC_VECTOR (3 downto 0);b : in STD_LOGIC_VECTOR (3 downto 0);ci : in STD_LOGIC;co : out STD_LOGIC;s : out STD_LOGIC_VECTOR (3 downto 0));end pa;architecture Behavioral of pa is--signal declarationsignal c:std_logic_vector(2 downto 0);--component declarationcomponent fadfPort ( ain : in STD_LOGIC;bin : in STD_LOGIC;cin : in STD_LOGIC;sum : out STD_LOGIC;cout : out STD_LOGIC);end component;beginu0:fadf port map(a(0),b(0),ci,s(0),c(0));u1:fadf port map(a(1),b(1),c(0),s(1),c(1));u2:fadf port map(a(2),b(2),c(1),s(2),c(2));u3:fadf port map(a(3),b(3),c(2),s(3),co);end Behavioral;


What did the colonists do to protest the british rules?

The colonist had a Tea Party.they marched downto the docks in southie,Boston and through barrels of tea into the ocean to protest the extreme upward spike of the tarriff or tax on tea.


What did colonist do to protest british rules?

The colonist had a Tea Party.they marched downto the docks in southie,Boston and through barrels of tea into the ocean to protest the extreme upward spike of the tarriff or tax on tea.


Round 6.23 to one decimal place?

6.2If the next digit is between 0 and 4, then you round downto the nearest number. If the number had been 6.26 you would have rounded up to get an answer of 6.3